臺積電n3半導體進入門檻越來越高:產前保健建議心得

時間:2023-11-17 11:50:29 作者:產前保健建議心得 熱度:產前保健建議心得
產前保健建議心得描述::倉佑調措: //@forcode:先進半導體的進入門檻越來越高,三星已經掉隊,臺積電獨占鰲頭。/半導體設備業者表示,單以客戶、訂單來看,三星至今3納米GAA未見大客戶量產,且面臨手機出貨頻傳下修、自家Exynos晶片修正,以及高通(Qualcomm)持續拉升臺積電比重與NVIDIA回歸臺積電等危機。相較之下,臺積電3納米目... 芯智訊: 2023年1月3日消息,據Semiwiki報道,臺積電在 2022 年 IEDM 上發表了兩篇關于 3nm 的論文:“關鍵工藝特性可實現3nm CMOS及更高技術的激進接觸柵極間距縮放”和“3nm CMOS FinFlex為移動SOC和高性能計算應用提供增強的能效和性能的平臺技術”。 Semiwiki的編輯表示,第一篇論文描述了臺積電的 N3 工藝,第二篇論文描述了臺積電 N3E 工藝,這在第二次演講中得到了演講者的證實。 另據Business Next的報道,目前臺積電的3nm良率可能高達75%至80%。 臺積電N3 在第一篇論文中,臺積電公開了45nm的接觸柵極間距(Contacted Gate Pitch,CPP)。CPP 由柵極長度 (Lg)、接觸間隔厚度 (Tsp) 和接觸寬度 (Wc) 組成,如圖 1 所示。 △圖1,CPP 從圖 1 中,我們可以看到臺積電通過減少構成 CPP 的所有三個元素來減少每個新節點的 CPP。邏輯設計是通過使用標準單元完成的,CPP 是標準單元寬度的主要驅動因素,因此縮小 CPP 是提高新節點密度的關鍵部分。 最小 Lg 是通道柵極控制的函數,例如,從通道厚度不受約束的單柵極平面器件轉變為具有 3 個柵極圍繞薄通道的 FinFET,從而實現較短的 Lg。圖 2 說明了多個臺積電節點的 DIBL 與 Lg 對比,以及優化鰭片如何降低當前工作的 DIBL。 △圖2,DIBL 與 Lg。 收縮CPP的第二個因素是Tsp厚度。降低Tsp會增加寄生電容,除非對墊片進行優化以降低k值。圖3顯示了臺積電對低k間隔物與氣隙間隔物的研究。臺積電發現,低k間隔物是擴大CPP的最佳解決方案。 △圖 3,與柵極間隔物的接觸。 CPP的最后一個要素是接觸寬度。在這項工作中,臺積電開發了一種優化的自對準接觸(SAC)方案,該方案提供了較低的接觸電阻。圖4的左側顯示了SAC,右側顯示了電阻的改善。 △圖 4, 自對準觸點 這項工作使N3工藝具有0.0199μm²的高密度SRAM尺寸。隨著臺積電推進其2nm工藝,這項工作也很重要。在2nm處,臺積電將轉向一種稱為水平納米片(HNS)的環繞柵極(GAA)架構,HNS可實現更短的Lg(4個柵極,而不是圍繞薄柵極的3個柵極),但Wc和Tsp仍需要優化。 臺積電將N3E工藝描述為N3的增強版本,有趣的是,N3E被認為實現了與N3相比更寬松的間距,例如CPP,M0和M1都被認為出于性能和良率的原因而被放松。關于臺積電N3以及是否準時,有不同的故事。Semiwiki的編輯認為,N5在2019年開始進入風險,到2020年圣誕節,蘋果iPhone已經配備了N5芯片。N3 在 2021 年進入風險開始,iPhone 要到明年才會推出 N3 芯片。這個過程至少晚了 6 個月。在本文中,高密度SRAM單元尺寸為0.021μm²被披露。大于 0.0199 μm²的 N3 SRAM 單元。N3的良率通常被描述為良好,其中提到了良率在60%至80%。 本文討論此過程有兩個主要特征:FinFlex;最小金屬間距為 23 納米,銅互連采用“創新”襯里以實現低電阻。 FinFlex 是一種混合和匹配策略,具有雙高度cell,可以是頂部 2 鰭cell,底部 1 鰭cell以獲得最大密度,2 鰭cell超過 2 鰭cell作為中等性能和密度,以及 3 鰭超過 2 個鰭狀的cell可實現最佳性能。這為設計人員提供了很大的靈活性來優化他們的電路。 圖 5 說明了各種 FinFlex 配置,圖 6 將每種配置的規格與 5nm 的標準 2 over 2 鰭式cell進行了比較。 △圖 5,FinFlex cell △圖6,3nm FinFlex性能與 5nm的比較。 上圖是大約 550 歐姆的 15 級金屬堆棧的通孔電阻分布。在當前的工藝中,電源通過金屬堆棧的頂部進入,并且必須通過通孔鏈向下到達設備,電源線中的電阻為550歐姆。這就是為什么英特爾、三星和臺積電都宣布了其 2nm 級工藝采用背面供電。通過極端的晶圓減薄,從背面引入電源的過孔應該會使通孔電阻提高>10倍。 比較 作為讀者,您可能會遇到的一個問題是,臺積電3nm工藝與三星的3nm工藝相比如何。臺積電仍在使用FinFET技術,而三星已經過渡到GAA——他們稱之為多橋HNS。 根據計算,在5nm工藝節點,臺積電最密集的邏輯單元密度是三星最密集邏輯單元密度的1.30倍。如果您查看圖 6 中的臺積電密度值,2-2 鰭式單元的密度比 5nm 中的 2-2 個鰭式單元密度高 1.39 倍,而 2-1 單元的密度提高了 1.56 倍。三星有兩個版本的3nm,SF3E(3GAE)版本比5nm密度高1.19倍,SF3(3GAP)版本密度比5nm高1.35倍,進一步落后于臺積電行業領先的密度。我也相信臺積電在3nm上具有更好的性能和稍好的功率,盡管三星可能由于HNS工藝而縮小了功率差距。 臺積電3nm良率或高達80% 在三星宣布量產3nm GAA工藝半年之后,2022年12月29日,晶圓代工龍頭臺積電正式在南部科學園區晶圓18 廠新建工程基地舉行了3nm(N3)量產暨擴廠典禮,宣布其3nm正式量產。但是臺積電并未公布其3nm的良率,僅表示目前其3nm良率與5nm量產同期相當。 根據接受Business Next采訪的專門從事半導體的分析師和專家估計,目前臺積電的3nm良率可能低至60%至70%,也可能高達75%至80%,這對于剛剛量產的3nm工藝來說是相當不錯的。與此同時,金融分析師Dan Nystedt也在推特上表示,臺積電目前的3nm良率與5nm良率在其上升初期相似,據媒體報道,其良率可能高達80%。 相比之下,此前的報道顯示,三星晶圓代工業務在早期階段的3nm(3GAE)的良率則只有10%到20%不等,并且沒有明顯改善。當然,三星的3nm是基于全新的GAA架構,而臺積電則依然是基于FinFet技術,相比之下前者難度更高。 雖然估計差異很大,但臺積電目前的N3良率有幾點需要注意。首先,我們不知道這個數據是否計算的是通過臺積電Fab 18的商用晶圓的良率,或者計算包含臺積電客戶各種IP的商用和測試晶圓的良率。其次,除了臺積電及其客戶之外,目前沒有人知道商用或測試晶圓的確切良率。第三,如果我們只考慮商用晶圓,目前臺積電的N3用于為早期采用者進行非常有限的設計,盡管這是基于市場傳聞。 需要指出的是,臺積電傾向于根據蘋果公司(其最大的客戶和前沿節點的阿爾法客戶)的要求來開發其領先的生產技術,并且蘋果根據臺積電的能力量身定制其設計,因此初始良率可能高達80%也就不足為奇了。爆料顯示,A17 Bionic以及蘋果M2 Pro和M2 Max等芯片都是采用臺積電3nm工藝。但是,對于為大眾市場產品提供代工服務的3nm初始良率來說,60%的良率可能并不高。 臺積電表示,其3nm制程技術性能、功耗及面積(PPA) 及晶體管體技術為業界最先進半導體邏輯制程技術,是繼5nm(N5) 制程后另一個全新世代制程。相較N5 制程,3nm邏輯密度增加約60%,或相同速度下功耗降低30%~35%,并支持創新的TSMC FINFLEXTM 架構。 無論如何,由于臺積電商業生產的N3設計數量有限(預計它幾乎不超過三個IC),并且與產量相關的數據是代工廠及其客戶妥善保管的商業秘密,外界無法對臺積電N3的實際良率有多高或多低做出任何判斷。 事實上,出于同樣的原因,也很難將臺積電的N3產量與三星代工早期階段的3GAE產量進行比較。 此外,蘋果可能是唯一一家采用臺積電N3制程技術的公司,因為其他客戶可能將使用具有進一步改良后的N3E。同時,早期的N3良率可能不適用于N3E(及其N3技術系列的其他節點),這種工藝技術實際上是整個行業應該關心的事情,因為它將被廣泛使用。 現代半導體生產技術包含數千個工藝步驟,取決于材料、使用的晶圓廠設備工具、工藝配方和許多其他因素。因此,可能有數千種方法可以提高或降低產量,這就是為什么對一個因素如何影響其他因素有非常深刻的了解很重要的原因。由于臺積電的N3(N3B),N3E,N3S,N3P和N3X是非常不同的制造技術,早期的N3的高良率對于其他N3系列節點是好兆頭,但它們并不能保證其他節點會同樣成功(或不成功)。 編輯:芯智訊-浪客劍 資料來源:Semiwiki、Business Next 賽格大道: 文/ 余紹清 中國是全球最大的芯片消費市場,但因為美國禁止所有使用了美技術和設備的企業向中國市場出貨,用于生產芯片的高端光刻機被限制進入中國。幾乎每隔一陣子,事關全球高端光刻機能否被中國引進的政策,都會成為新聞熱點。 正如知名硬科技投資人蔡洪平所言:“從半導體研究的第一天起,就是全球化的,沒有一個國家可以包圓(整個產業鏈),美國也不行。”所以,強如美國,也無法生產出頂尖光刻機,只能通過耍流氓的手段,對別國進行限制。 而相對美中德日這樣的大國,荷蘭是一個不折不扣的小國,但其生產高端光刻機的本土企業ASML,卻在半導體行業內坐擁崇高地位。這家坐落于荷蘭南部小城維爾德霍芬的科技公司,占據全球高端光刻機市場80%以上的份額。從1984年在板房創立,到2009年占據行業龍頭,只用了31年。 理解ASML的崛起,或者說理解荷蘭如何培育出ASML,對于解決當前中國的卡脖子難題,應該有一定價值。 光刻機到底比原子彈難在哪? 因為這兩年卡脖子問題十分突出,越來越多的普通中國人都知道了光刻機這個東西。但聽過光刻機這個詞,不代表真正了解它。很多人說,當年咱們那么窮,都造出了原子彈,現在經濟狀況好了很多,難道還怕造不出光刻機?持有類似心態的人,以董明珠為代表,她曾放出豪言,要拿500億進軍半導體領域。 當前,全球最先進的EUV光刻機售價高達1.2億歐,價格堪比一架波音737客機。2024年量產的新一代High-NA EUV光刻機,預計售價將在3-3.5億歐之間。EUV光刻機的累積研發投入超過百億歐元,半導體行業玩家的門檻是1000億元,并且需要不斷投入才行。脫口而出500億解決問題,暴露出當事人對這個行業的陌生。 原子彈和光刻機都很難造,二者的關鍵區別也許只有一條,那就是原子彈造出后,研究項目也就結束了;而光刻機造出后,項目才剛剛開始,企業必須緊跟需求,持續優化性能的同時降低成本,屬于典型的“既要又要”。有投資人測算過,如果按照造光刻機的效率來造原子彈,一顆原子彈的價格只要10萬人民幣。 簡言之,原子彈是有沒有的問題,光刻機則是好不好的問題,分屬兩個維度。 對于臺積電、三星或中芯國際來說,企業投資數十億美元建立生產線,最怕的就是設備故障導致停產,一旦停產,損失極大。所以,這要求光刻機必須保持7x24小時的絕對穩定性。這就必須依靠商業方式,不斷地保持高利潤-高研發投入-持續迭代,從而形成一個具有正反饋效應的循環。 一臺EUV光刻機重達180噸,超過10萬個零件,需要40個集裝箱運輸,集合了光學、有機化學、儀器儀表、機械設備、自動化、圖像識別等多行業的頂級技術,僅安裝調試就要超過一年時間,每年停修時間不超過3%。 EUV光刻機使用的反射鏡片制造工藝更是極其復雜,為了確保光線在真空中穿過透鏡時不丟失、不變形,需要的技術精度相當于在北京和上海之間鋪一條鐵鏈,鐵軌起伏不超過1毫米。而這些反射鏡成像精度之高,相當于我們在地球上拿個手電照到月球,光斑不超過一枚硬幣大小。 正是因為光刻機具有超強的穩定性,所以,今天上海先進半導體工廠內,還有一臺30年前進口的ASML光刻機依然在晝夜不停地工作。這是中國最早的一條5英寸芯片生產線,最初由荷蘭飛利浦公司與上海幾個國有半導體企業在1988年合資建立。 飛利浦不僅幫助中國大陸跟上國際半導體發展的步伐,對臺灣地區半導體行業的起步也影響甚大,這一點后面會提到。不過,要論這家工業巨擘對于光刻機行業的最大貢獻,還是要回到對ASML的哺育之恩。 背靠飛利浦,大樹底下好乘涼 按照最新數據(2022年12月9日),ASML市值為2424億美元,飛利浦僅為123.8億美元,連ASML的零頭都不及。更有意思的是,飛利浦持有ASML約5.8%的股份,折算下來140.6億美元,超過了自身市值。 但如果將時針撥回1984年,情況則截然不同。那一年,飛利浦屈就和荷蘭先進半導體材料公司(ASM)合作出資成立ASML,除了提供210萬美元的現金或設備外,45位飛利浦Natlab的研發工程師也加盟ASML。 雖然有飛利浦Natlab的光環加持,但創立后的10年里,公司都未曾盈利。成立之初,ASML的光刻機無人問津,唯一買過幾臺的是Elcoma,它是飛利浦半導體和材料事業部。幾年后,深陷泥沼的ASM創始人德爾·普拉多最終選擇了撤資,飛利浦承擔了ASM在合資企業中的股份和債務,并與ASML的銀行NMB合作,接受來自荷蘭和歐共體的研發捐贈。 《光刻巨人:ASML崛起之路》的作者瑞尼·雷吉梅克評價道:“ASML3年后還活著絕對是一個奇跡。”顯然,ASML沒有在最初幾年倒下,與母公司飛利浦的輸血有直接關系,除了資金支持,飛利浦還在ASML開拓亞洲市場的關鍵時刻,把自己最優秀的工程師派去協助,以確保訂單不會消失。這對初創的ASML來說十分關鍵。 當然,飛利浦絕不是什么商業投資領域的慈善家。在數十年的合作中,ASML和飛利浦之間也有過多次摩擦。但不容否認的是,飛利浦客觀上構建了一個持續運轉的共享創新網絡。飛利浦在荷蘭每所大學都有耳目,與荷蘭幾乎每個工程或科學教授都有聯系,一旦公司在大學教授的人際關系網里發現人才,有需要就會進行招聘。當ASML組建之初招聘員工時,有大約300人提出申請,這令ASML管理層感到驚訝。 不僅對ASML,也對維爾德霍芬地區的高科技產業發展具有巨大的輻射和孵化作用。當地數十家高科技公司都直接或間接脫胎于飛利浦公司,但飛利浦并沒有強行將其變成旗下子公司,而是與其和諧發展,共同維護并呵護這個共享創新的模式。事實上,就連維爾德霍芬這座城市,也是依托飛利浦公司的成長而發展起來的。 飛利浦是荷蘭維爾德霍芬地區的高科技企業之根。制圖:牛媛媛、王天明 甚至,大名鼎鼎的臺積電在1987年創立時,也稱得上是飛利浦在中國臺灣下的蛋。當時,臺灣工研院和飛利浦創辦臺積電,飛利浦占27.5%股份,是最大外部股東。飛利浦不僅毫無保留地把內存生產線開放給臺積電學習,還原封不動地把整條生產線搬到臺灣給臺積電。可以說,是飛利浦為臺積電打下了堅實的生產技術基礎,而張忠謀之于臺積電,主要是開創了一個新商業模式。 有趣的是,因為飛利浦的關系,ASML在1988年拿到了臺積電的17臺光刻機訂單,這讓1989年的ASML獲得了勉強盈利的結果。這也再次證明,飛利浦對早期ASML的重要性。 多年以后,ASML也秉承了飛利浦所青睞的共享創新理念。以2016年為例,ASML拿出了1.32億歐元用于支持其創新生態系統建設,其中80%用于企業的研發工作,20%用于資助大學和研究機構進行研發。 此外,ASML與全球700多家供應商展開合作,50%來自荷蘭本土,其余大多來自歐盟和美國,85%的成本由供應商提供。如果沒有開放協同、共享共生的理念及其配套制度,是不可能做到這一點。當然,ASML也就不可能匯聚全球智慧,攻破看似不可逾越的技術難題,戴上工業制造皇冠。 攜手卡爾·蔡司,鍛造研發命運共同體 1988年,ASML陷入財政最低谷,也在此時,ASML利用尼康、佳能的冒進之舉,推出了它的翻身之作——PAS 5500光刻機。ASML現在的CEO范登布林克,那時還是首席架構師,他成功將PAS 5500推廣到了藍色巨人IBM面前,后者決定在紐約東菲什基爾建立新的芯片生產線。 ASML獲得IBM認可后,來自世界各地的訂單開始紛至沓來。但對ASML來說,一個新問題出現了,那就是面對源源不斷的訂單需求,為自己提供所有的投影物鏡和照明系統的核心供應商卡爾·蔡司公司,卻存在多項不確定性:質量不合格、產能不足、與競品合作。 質量、產能問題的背后,一是蔡司管理層并未真正認可ASML,相反蔡司更重視尼康的需求;二是蔡司管理層還在堅持人工打磨的方式,他們對公司內6名頂級技工的“金手指”十分引以為傲。對此,ASML拿著不合格的鏡頭,用事實讓蔡司高管低下了高貴的頭顱,同時,他們也抓住蔡司糟糕的財務狀況這一痛點,推動蔡司從“金手指”打磨過渡到自動化操作。 借助干涉儀、機器人、離子束的刻蝕設備、拋光筆等新技術新設備,蔡司百年以來的老生產線被改造成了符合ASML要求的柔性生產線,最終交付的鏡頭品質和效率大幅提高。 光學系統是光刻機最核心的部分,負責利用光線,將設計好的圖紙打在晶圓表面,以便下一步在晶圓表面雕刻。上圖紫色部分為卡爾·蔡司為ASML提供的光學儀器。 讓德國人知錯就改后,ASML還要求蔡司只能給自己獨家供貨,兩者簽署一份契約,ASML在蔡司半導體光學部門(SMT)擁有24.9%的股權。由于雙方命運極為緊密地聯系在了一起,所以當1994年時任蔡司CEO利希滕貝格聽到ASML巨額訂單的消息時,他選擇賭了一把,砍掉了部分業務部門,不僅按要求改造生產線,還入股了ASML,并以借東風的方式,一掃財報連年虧損的陰霾。 到今天,ASML和蔡司還在定期交換研發工程師和科學家,確保從技術、股權甚至企業文化上進行共享。ASML制造的光刻設備總成本中,有將近30%購自卡爾·蔡司(2018年為28.3%,2017年為26.6%,2016年為27.6%)。而蔡司在光學研發上所取得的無與倫比的成就,也在不斷幫助ASML突破一個又一個極限。 這是一個相互成就的故事,但如果沒有ASML堅持高標準來倒逼包括蔡司在內的供應商不斷進行技術升級,光刻機行業的創新步伐就不會這么快;此外,通過交叉持股的方式,最大限度確保了彼此的同進退,也證明了金融創新在尖端制造業中的重要性。 擁抱技術聯盟,戴上工業制造皇冠 1995年,ASML在阿姆斯特丹和納斯達克交易所同時上市,一時間,訂單、資金都不再是企業發展的障礙,如何將領頭羊尼康挑落馬下,成為ASML管理層思考的重點。 上世紀90年代中葉,光刻機如果想繼續遵循摩爾定律,跨越芯片精度上的限制,就必須讓光刻機內的光源從DUV變成EUV。為了挑戰這一在當時如外星科技般的技術難題,英特爾在1997年說服克林頓內閣,以公司形式發起了EUV LLC合作組織。 這個組織由英特爾和美國能源部牽頭,不僅包括美國三大國家實驗室,也匯聚了當時如日中天的摩托羅拉和AMD。同時,英特爾還力邀ASML和尼康加入,這是因為80年代還縱橫捭闔的美國老牌光刻公司Perkin-Elmer和GCA,到90年代大勢已去。 不過,英特爾此舉受到美國政府的阻撓,因為后者舍不得讓外國公司分享美國最前沿的技術。對此,ASML展示出了驚人的技術前瞻性,遠比尼康積極地擠進EUV LLC。ASML在美國進行強力游說,開出了讓美國政府很難拒絕的條件——由ASML出資在美國建工廠和研發中心,并保證55%的原材料都從美國采購,最終得到了加盟資格。 這一決定不僅確保了ASML可以獲得EUV LLC的全部技術專利,還確保了自己最大的競爭對手尼康,徹底被排除在競爭之外。實際上,2000年的全球光刻機市場,尼康依然是當之無愧的老大,占據了近7成市場份額,但到2009年即被ASML超過。此后,因為光刻機進入EUV時代,尼康只能在中低端市場刷存在感,早已看不到ASML的背影。 從1997年到2003年,6 年間EUV LLC的科學家發表了幾百篇論文,成功驗證了 EUV光刻機的可行性,之后便宣布聯盟解散。2006年,在ASML實驗室里出現了EUV的原型機,四年后的2010年,在ASML手中誕生的人類第一臺EUV工程樣機:NXE 3100。 2012年,因為研發投入需要每年10億歐元,ASML請英特爾、三星和臺積電入股,希望大家共同承擔這個人類的偉大工程。2015年,可量產的樣機發布。雖然售價高達1.2億美元一臺,但還是收到雪片一樣多的訂單。排隊等交貨,都要等好幾年。2018年,中芯國際曾預訂過一臺EUV光刻機,因為美國技術封鎖,該計劃已經被暫停。 ASML在1997年成功加入EUV LLC,2012年吸引英特爾等客戶投資自己,可謂帶來了兩大后果:一是憑借EUV甩掉了競爭對手尼康,二是加強了與美國捆綁,因為政治對抗失去中國市場。對于ASML來說,這兩者都是難以預料的,它既不能確保自己選擇的技術路徑一定成功,也不能左右國際政治博弈的大局。 但有一點是可以確定的,那就是如果ASML不加入英特爾和美國政府主導的技術聯盟,就不可能獲得后來至高無上的行業地位;反過來,即使強如美德日,在今天如果少了ASML、臺積電、三星,也都無法單獨實現芯片產業的閉環。 這一點,當然也適用于中國。 對中國半導體創新的幾點啟迪 對中國科技企業而言,ASML和它所在的荷蘭存在很多獨特性。但平心而論,這種獨特性不在于當年荷蘭比今天中國擁有多少優勢,而在于ASML能夠將可調動的優勢發揮到極致。 今天,中國擁有全球最大的單一消費市場,從政府到企業,也都雄心勃勃地試圖征服半導體高地。這些都是十分珍貴的優勢,但是,我們也需要觀照他人、深刻反思自己的不足,就ASML的案例,至少可以給我們以下幾點啟迪: 第一,必須盡快扭轉制造業供應鏈外流的趨勢。從ASML的經歷來看,供應鏈就是它的生命線,更是它的創新線。ASML對蔡司的技術規范和提升,在過去幾十年里,也大量發生在外資企業和沿海工廠之間。最典型的就是蘋果供應鏈,2008年的時候,中國大陸企業在蘋果供應鏈中只占了3.6%的價值比重,到了2018年,這一數據已經提升到25.4%,數據背后是中國供應鏈企業的集體飛躍。 今年前11個月,越南進出口商品總金額累計已達到6738億美元,同比增長11.8% 甚至,中國在融入全球供應鏈的過程中,還打造出自己的手機品牌,OPPO、vivo、華為、小米等等,都享受了中國企業融入全球供應鏈后的多方面溢出效應。要知道,飛利浦最早的光刻機技術,就是對美國企業的跟隨和模仿,而ASML擊敗尼康,也花了20多年。它早期成功的標志,就是成為IBM的供應商。 因此,當前科技產業供應鏈的外流需要引起足夠的重視,必須注意到越南的進出口數據和印度的燈塔工廠數量的增長勢頭,這些都是再明顯不過的信號。守住這些供應鏈,我們的半導體行業就有突破的希望。 第二,應該珍視類似飛利浦這樣的生態型龍頭企業。生態型龍頭企業和一般龍頭企業不同,它們往往是產學研一體化的組織者、統籌者,對中小科技企業的孵化功能,是一般龍頭企業和創業孵化器所不能比的。對于飛利浦來說,并沒有一個明確計劃去孕育一家ASML,但它在發展中天然會去搭建一個創新生態,沐浴在這個生態下的中小微企業,自然而然得到了生態中的養分。 在硅谷、長三角以及粵港澳大灣區,都存在一批這樣的生態型龍頭企業,通過股權、知識和人才網絡等形式搭建一些區域性的共享創新生態。深圳、杭州之所以敢喊出建設“東方硅谷”的口號,底氣就在于已經培育了騰訊、阿里這樣的生態型龍頭企業。 在近日舉辦的大灣區科學論壇灣區科創峰會上,樊綱表示,中國的數字科技企業也是實體經濟的重要組成部分 正如經濟學家樊綱在近期的一次演講中提到,大企業是當前經濟發展、產業進步的決定性力量,中國的大企業不是多了,而是太少了。他領銜的研究團隊發布了《共享創新指數報告》,指出全球經濟產業組織的底層邏輯正發生顛覆性改變,科技競爭正從企業、城市之間的競爭,走向生態之間、城市群之間的競爭。在中國,兩個三角洲擁有明顯高于其它地方的創新水準,依賴的正是高密度、高效能的創新網絡。 第三,需要意識到資本市場對半導體產業的重要性。ASML所在的歐洲,從荷蘭政府到歐共體和后來的歐盟,都比美國更喜歡給企業補貼,ASML當然是受益者之一。但ASML真正解決資金問題,是通過在歐洲和美國的同時上市,連接全球資本市場后,企業發展很快進入了快車道。這一點,2010-2018年間上市的中概股企業一定頗有體會。 相對而言,國家資本擁有更長遠的眼光,但民間資本更注重投資回報中的正反饋效應,在瞬息萬變的市場,民間資本能夠更好地支持企業做出抉擇。畢竟,沒有一家企業事前知曉哪一條技術路徑,哪一種商業模式可以百分百獲得成功。所以,高頻高效的反饋機制對于企業十分關鍵,而資本市場的信號往往是最快速、最清晰的。 過去十幾年里,從互聯網科技到新能源汽車,一批中國企業借力資本市場,歷經重重困難,最終殺出一條血路成為市場寵兒。這些有國際競爭力、影響力的科技企業,應被視為“國家戰略型企業”。未來,中國必須持續擴大戰略型企業的矩陣,而半導體企業一定會以主角的身份,位列陣中。 作者系IT界從業者 本文首發于財新網,略有改動。 參考文獻: 1、《光刻巨人:ASML崛起之路》,2020,[荷蘭]瑞尼·雷吉梅克 著,金捷幡 譯,人民郵電出版社; 2、《中國出口之謎:解碼全球價值鏈》,2022,邢予青 ,生活·讀書·新知三聯書店; 3、《知識密集型產業創新生態系統建設:以荷蘭ASML公司為例》,2020,牛媛媛、王天明,《科技導報》(38); 4、《戰略投入與制造業生態體系:ASML光刻機崛起的啟示》,2021,嚴鵬,《中國信息化》(4); 5、《四萬字詳解ASML崛起之路》,陳啟,微信公眾號“啟哥有妙計” 賽格大道的第87篇文章 排版 余先生 往期回顧 小篇大道: 三年的疫情,打擊了經濟,美國不停設置障礙,連外貿都開始逆增長,2022真是瘟一年,還沒看到盡頭。 注冊制,必然帶來更多上市公司。注冊制每個月都在推,尤其是政策支持的芯片設備方向,不投對不起政府,更對不起自己。資金應在證券市場一二級擇機擇股進出才對。 今年10月7日美國出臺的一系列對華出口管制政策,該政策的藍本就出自美國對華科技負責人馬西尼所創立的安全與信息中心(CSET),CSET的報告里面基本上就是美國政府出臺的這些政策,可以說對中國了如指掌,打到了中國非常疼的地方。 2022年10月,中國一部分晶圓制造廠及先進半導體相關企業落入了美國新規的限制范圍,將無法再進口美國相關先進設備,然而本土的半導體設備材料還沒有發展壯大,無法支撐本土的制造企業。制造是一個產業的根本,半導體設備、材料更是一個極為縱深的環節,本土企業發展弱小,且有可能在2023年進入一個周期低點(因產業鏈的傳導性)。接下來,大力發展本土設備、材料企業,是加強本土制造能力的關鍵。 一級上,芯片設備、材料才是上市高確定性的品種。
站長聲明:以上關於【臺積電n3半導體進入門檻越來越高-產前保健建議心得】的內容是由各互聯網用戶貢獻並自行上傳的,我們新聞網站並不擁有所有權的故也不會承擔相關法律責任。如您發現具有涉嫌版權及其它版權的內容,歡迎發送至:1@qq.com 進行相關的舉報,本站人員會在2~3個工作日內親自聯繫您,一經查實我們將立刻刪除相關的涉嫌侵權內容。